更多“Rst ”相关问题
  • 第1题:

    对clk_1Hz模块例化正确的是:

    A.u3 clk_1Hz(.clk_50M(clk_50M),.rst(rst),.clk1Hz(clk1Hz));

    B.clk_1Hz u3(.clk_50M(clk_50M),.rst(rst),.clk1Hz(clk1Hz));

    C.u3 clk_1Hz(clk_50M(.clk_50M),rst(.rst),clk1Hz(.clk1Hz));

    D.clk_1Hz u3 (clk_50M(.clk_50M),rst(.rst),clk1Hz(.clk1Hz));


    clk_1Hz u3(.clk_50M(clk_50M),.rst(rst),.clk1Hz(clk1Hz));

  • 第2题:

    含异步复位和时钟使能的D触发器 module DFF2(CLK,D,Q,RST,EN); output Q; input CLK,D,RST,EN; reg Q; always @(posedge CLK or negedge____) begin if (!RST) Q <= 0; else if (EN) Q <= D; end endmodule 空格处应该填入:

    A.EN

    B.0

    C.RST

    D.Q


    错误

  • 第3题:

    下列描述中采用时钟clk正边沿触发且rst异步低电平复位的代码描述是

    A.always @ (posedge clk, negedge rst) if (rst)

    B.always @ (posedge clk, rst) if (!rst)

    C.always @ (posedge clk, negedge rst) if (!rst)

    D.always @ (negedge clk, posedge rst) if (rst)


    always @ (posedge clk, negedge rst) if (!rst)

  • 第4题:

    4、针对该程序下述描述正确的是 PROCESS(clk,rst) BEGIN IF(rst='0') THEN q<="0000"; ELSIF(clk'event AND clk='1') THEN q<=q-1; END IF; END PROCESS;

    A.上述都不准确

    B.clk'event AND clk='1'表示下降沿

    C.clk上升沿计数加1

    D.rst为0时实现异步清零


    D

  • 第5题:

    FX系列PLC中RST,表示复位指令。


    C

  • 第6题:

    关于SET和RST指令的说法错误的是()。

    A.SET指令的目标元件为Y、M、S、T、C

    B.RST指令的目标元件为Y、M、S、T、C、D、V、Z

    C.对同一目标元件,RST和SET可多次使用

    D.RST和SET对同一目标元件操作,最后执行者有效


    SET 指令的目标元件为Y、M、S、T、C